photo

Igor Markov's publications


Highlight     Google Scholar My papers with citations     Papers referenced by DBLP arxiv
      In references, please use "I. L. Markov", rather than "I. Markov", to disambiguate from Prof. Ivan Markov in Bulgaria, an international authority on epitaxial growth.
  1. Books and Book Chapters
  2. Journal papers
  3. Publications in Conference and Workshop Proceedings
  4. Invited talks
  5. Conference and Workshop Presentations w/o Proceedings
  6. Abstracts and Technical Reports

Doctoral dissertations of my students are listed on a separate page.

Books and Book Chapters

Publications in journals and magazines

Conference and Workshop Refereed Proceedings

Invited talks, tutorials and discussion panels (w/o proceedings)

  1. I. L. Markov, ``Limits to Fundamental Computations'', (Mentor Graphics, Berkeley, University of Toronto, Stanford) 2013-2014.
  2. I. L. Markov, ``Embedded Security and the Three Little Pigs,'' panel on Embedded Security at DAC, San Diego, CA, June 2011
  3. I. L. Markov, ``Parallelization by SimPLification: A Case Study in VLSI Placement,'' Int'l Workshop on Parallel Algorithms and Parallel Architectures (PAPA), San Diego, CA, June 2011
  4. I. L. Markov, ``SimPL: an Effective Algorithm for Placement,'' Michigan Technological University, April 2011
  5. I. L. Markov, ``Recent Research on Clock Network Synthesis,'' Intel, Portland, OR, March 2011.
  6. M.-C. Kim, D.-J. Lee and I. L. Markov, ``SimPL: an Effective Algorithm for Placement'', Mentor Graphics, San Jose, CA, November 2010
  7. M.-C. Kim, D.-J. Lee and I. L. Markov, ``SimPL: an Effective Algorithm for Placement'', Synopsys, Mountain View, CA, November 2010
  8. I. L. Markov, Natonal Science Foundation, Washington, DC, July 2009.
  9. I. L. Markov, ``Recent Work on Bug Repair,'' Calypto, San Jose, CA, July 2008.
  10. I. L. Markov, ``Recent Work on Physical Synthesis,'' Xilinx, San Jose, CA, July 2008.
  11. I. L. Markov, ``The Many Shades of Post-Silicon Debug,'' Intel Research Symposium, Haifa, Israel, June 2008.
  12. I. L. Markov and J. P. Hayes, Special Session on Quantum Computing, VTS, San Diego, CA, April 2008.
  13. I. L. Markov, ``On Libraries, Reuse and the Value of EDA Software,'' EDP, Monterey, CA, April 2008.
  14. I. L. Markov, ``Automating Post-silicon Debugging'', Intel, Santa Clara, CA, March 2008.
  15. I. L. Markov, ``Why Study Quantum Circuits and What They are Good For,'' SASIMI, Sapporo, Japan, October 2007.
  16. I. L. Markov, ``New Standards without New Parsers'', workshop on Electronic Design Processes (EDPS), Monterey, CA, April 2007.
  17. I. L. Markov, ``ECO-system: Embracing the Change in Placement'', IBM Austin Research Laboratory, March 2007.
  18. I. L. Markov, ``Post-silicon Debugging'', Rice University, March 2007 .
  19. D. A. Papa, K.-H. Chang, I. L. Markov, and V. Bertacco, ``Fast Simulation and Equivalence Checking Using OpenAccess'' the Open Access conference (OA), San Jose, CA, November 2006.
  20. I. L. Markov, ``Seeing the Forrest and the Trees: Steiner Optimization in Placement'', UT Austin, May 2006.
  21. J. A. Roy, D. A. Papa, J. F. Lu, A. N. Ng, I. L. Markov, ``Tool Development For Multi-Million Gate Designs'' workshop on Electronic Design Processes, 2005.
  22. I. L. Markov et al., ``Handling Symmetries in Computational Fields'', discussion panel at SymCon 2004.
  23. I. L. Markov, ``Floorplacement'', invited talk at a one-day research symposium organized by Intel Corp. in Haifa, Israel, July 2004.
  24. I. L. Markov, ``Handling Structure in Boolean Satisfiability'', a 3-lecture tutorial at a summer school on Symmetries in Constraint-Satisfaction Problems at St. Andrews, Scotland, June 2004.
  25. S. N. Adya and I. L. Markov, ``Unification of Placement and Floorplanning'', Synplicity Inc., Sunnyvale, CA, May 2004.
  26. I. L. Markov, ``Simulation and Synthesis of Quantum Circuits'' Theory Seminar, Columbia University, CS Department, March 2004.
  27. I. L. Markov, ``Simulation and Synthesis of Quantum Circuits'' Distinguished Talk in Quantum Information Processing, National Institute of Standards(NIST), January 2004.
  28. I. L. Markov, ``Symmetry-breaking for Boolean Satisfiability and 0-1 ILP,'' Electronic Systems Seminar, UC Berkeley, November 2003.
  29. I. L. Markov, ``Symmetry-breaking for Boolean Satisfiability,'' Theory Seminar, Universita Roma I, La Sapienza , May 2003.
  30. J. P. Hayes and I. L. Markov, ``Simulation, Synthesis and Testing of Quantum Circuits'' (.ppt), DARPA QuIST annual research review, Beverly Hills, CA, June 2003.
  31. P. Kudva and I. L. Markov, ``Benchmarking For Physical Synthesis'' (.ppt), IWLS, Laguna Beach, CA, May 2003
  32. I. L. Markov, ``Bookshelf.EXE'' (slides) GSRC Workshop, Pittsburg, PA, Dec 2002.
  33. I. L. Markov and J. P. Hayes, ``Simulation and Synthesis of Quantum Circuits'' (.ppt), DARPA QuIST annual research review, Cambridge, MA, Sept 2002.
  34. I. L. Markov, ``Bookshelf.EXE: Executable Extensions to the GSRC Bookshelf'', (slides) The GSRC Symposium, New Orleans, LA, June 2002.
  35. I. L. Markov and P. G. Villarrubia, ``Lazy Timing-Driven Placement'', IBM Annual All-site Meeting, Fishkill, NY, April, 2001.
  36. I. L. Markov, ``Large-scale Optimization in VLSI CAD'', CAD Seminar, UC Berkeley, November 2000
  37. A. E. Caldwell, A. B. Kahng and I. L. Markov, ``CAD-IP Reuse via the Bookshelf for Fundamental VLSI CAD Algorithms'', (.ppt), (.ps), (), Proc. ACM/IEEE Design Automation Conf., Los Angeles, June 2000.
  38. I. L. Markov ``The MARCO/GSRC Bookshelf For Fundamental VSLI CAD Algorithms'' (ppt) The Gigascale Silicon Research Center, Annual Review, San Jose, Dec 9, 1999;
  39. A. B. Kahng, A. E. Kennings, I. L. Markov, "Effective Optimization Strategies for Large-scale Placement", Sixth SIAM Conference on Optimization (.ps), Minisymposium on Optimization in Circuit Placement for VLSI , Atlanta, Georgia, May, 1999.

Conference and Workshop Presentations (w/o proceedings)

  1. D. MacLennan, P. Xie, A. Segavac, and I.L. Markov, ``Matching Subcircuits Macroblocks,'' IWLS, San Francisco, CA 2014.
  2. K.-H. Chang, H.-Z. Chou, I. L. Markov, ``Improving At-speed Testability at Early Design Stages,'' IWLS, La Jolla, CA 2011.
  3. M.-C. Kim, D.-J. Lee, I. L. Markov, ``Chop-SPICE: An Efficient SPICE Simulation Technique For Buffered RC Trees,'' TAU, Santa Barbara, CA 2011
  4. D. A. Papa, S. Krishnaswamy, I. L. Markov, ``SPIRE: A Retiming-based Global Physical Synthesis Transformation System,'' IWLS, Irvine, CA 2010.
  5. D. A. Papa, M. D. Moffitt, C. J. Alpert and I. L. Markov, ``Bounded Transactional Timing Analysis,'' TAU, San Francisco, CA 2010.
  6. H. Garcia and I. L. Markov, ``High-performance Algorithms for Energy Minimization in Ising Spin-glasses,'' IWLS, Berkeley 2009.
  7. S. Yamashita and I. L. Markov, ``Adaptive Equivalence-checking for Quantum Circuits,'' Int'l Reed-Muller Workshop (RM), Okinawa, Japan, 2009.
  8. K.-H. Chang, V. Bertacco, I. L. Markov, A. Mishchenko, ``Synthesis with External Don't-Cares Using Shannon Entropy and Craig Interpolation,'' IWLS, Lake Tahoe, CA, 2008.
  9. J.-S. Seo, I. L. Markov, D. Blaauw, D. Sylvester, ``On the Decreasing Significance of Large Standard Cells in Technology Mapping,'' IWLS, Lake Tahoe, CA, 2008.
  10. S. Plaza, I. L. Markov, V. Bertacco, ``Low-latency SAT Solving on Multicore Processors with Priority Scheduling and XOR Partitioning,'' IWLS, Lake Tahoe, CA, 2008.
  11. S. Yamashita, I. L. Markov, ``Equivalence-checking for Reversible Circuits'' IWLS, Lake Tahoe, CA, 2008.
  12. D. Chatterjee, T. W. Manikas, and I. L. Markov, ``COOLER- A Fast Multiobjective Fixed-outline Thermal Floorplanner,'' Austin Conference on Integrated Systems and Circuits (ACISC), Austin, TX 2008.
  13. K.-H. Chang, I. L. Markov, and V. Bertacco, ``Automating Post-Silicon Debugging and Repair'' IWLS, San Diego, CA, 2007.
  14. K.-H. Chang, I. Wagner, V. Bertacco, and I. L. Markov, ``Automatic Error Diagnosis and Correction for RTL Designs'' IWLS, San Diego, CA, 2007.
  15. S. Krishnaswamy, S. M. Plaza, I. L. Markov, and J. P. Hayes, ``Reliability-aware Synthesis using Logic Simulation'' IWLS, San Diego, CA, 2007.
  16. S. M. Plaza, I. L. Markov, and V. Bertacco, ``Automatic Coverage Analysis and Refinement using Entropy and Uniformly-Randomized SAT'' IWLS, San Diego, CA, 2007.
  17. K.-H. Chang, I. L. Markov, and V. Bertacco, ``Fast Verification of Retiming'' IWLS, San Diego, CA, 2007.
  18. S. Krishnaswamy, S. M. Plaza, I. L. Markov, and J. P. Hayes, ``AnSER: A Lightweight Reliability Evaluator for use in Logic Synthesis'' IWLS, San Diego, CA, 2007.
  19. K.-H. Chang, D. A. Papa, I. L. Markov and V. Bertacco, ``Fast Simulation and Equivalence Checking Using OAGear'' IWLS, pp. 270-271, Denver, CO, June 2006.
  20. K.-H. Chang, I. L. Markov and V. Bertacco, ``Keeping Physical Synthesis Safe and Sound'', IWLS, pp. 86-93, Denver, CO, June 2006.
  21. S. Krishnaswamy, I. L. Markov, J. P. Hayes, ``When Are Multiple Gate Errors Significant in Logic Circuits?'' System Effects of Logic Soft Errors (SELSE), Urbana-Champaign, IL 2006.
  22. I. L. Markov and Y. Shi, ``Simulating quantum computation by contracting tensor networks'', Quantum Information and Computation (QIP), (quant-ph) Paris, France 2006
  23. I. L. Markov, ``Algebraic Structure Helps in Finding and Using Almost-Symmetries'', Symmetries in Constraints (SymCon), Sitges, Spain 2005.
  24. Y. Oh, E. Ernst, K. A. Sakallah, I. L. Markov, ``Constructive Logic and Layout Synthesis Does Not Work'', IWLS, pp. 367-374, Lake Arrowhead, CA, June 2005.
  25. K.-H. Chang, I. L. Markov, V. Bertacco, ``Post-Placement Rewiring by Exhaustive Search for Functional Symmetries'' IWLS, pp. 469-476, Lake Arrowhead, CA, June 2005.
  26. A. Ramani and I. L. Markov, ``Automatically Exploiting Symmetries in Constraint Programming'' Symmetries in Constraints (SymCon) Toronto 2004.
  27. K. M. Svore, A. W. Cross, A. V. Aho, I. L. Chuang, I. L. Markov, "Toward a software architecture for quantum computing design tools" Workshop on Quantum Programming Languages, July 2004, Turku, Finland.
  28. G. F. Viamontes, I. L. Markov and J. P. Hayes, ``Is Quantum Search Practical?'' (slides) IWLS, Temecula Creek CA, June 2004, pp. 478-485.
  29. K. N. Patel, I. L. Markov and J. P. Hayes, ``Efficient Synthesis of Linear Reversible Circuits'' (slides) IWLS, Temecula Creek CA, June 2004, pp. 470-477.
  30. J. A. Roy, I. L. Markov and V. Bertacco, ``Restoring Circuit Structure from SAT Instances'' (slides) IWLS, Temecula Creek CA, June 2004 , pp. 361-368.
  31. H. H. Chan and I. L. Markov, ``Symmetries in Rectangular Block-Packing'' Intl. Workshop on Symmetry in Constraint-Satisfaction Problems (SymCon), 2003, pp. 27-40.
  32. F. A. Aloul, A. Ramani, I. L. Markov and K. A. Sakallah ``Symmetry-Breaking for Pseudo-Boolean Formulas'' Intl. Workshop on Symmetry in Constraint-Satisfaction Problems (SymCon), 2003, pp. 1-12.
  33. K. N. Patel, I. L. Makov, and J. P. Hayes, ``Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models'' (.ppt) IWLS, pp. 59-64, Laguna Beach, CA, May 2003.
  34. I. L. Markov, ``An Introduction to Reversible Circuits'' (.ppt), IWLS, Laguna Beach, CA, May 2003 (invited)
  35. J. A. Roy and I. L. Markov, ``On Sub-optimality and Scalability of Logic Synthesis Tools'' (.ppt) IWLS, Laguna Beach, CA, May 2003.
  36. V. V. Shende, A. K. Prasad, K. N. Patel, I. L. Markov, and J. P. Hayes (.ppt) ``Scalable Simplification of Reversible Logic Circuits,'' IWLS, Laguna Beach, CA, May 2003.
  37. F. A. Aloul, I. L. Markov, K. A. Sakallah, ``Symmetry-breaking for Boolean Satisfiability: The Mysteries of Logic Minimization'' Intl. Workshop on Symmetry on Constraint Satisfaction Problems (SymCon), slides, paper, Ithaca, NY, Sept 2002, pp. 37-46.
  38. V. V. Shende, A. K. Prasad, I. L. Markov and J. P. Hayes, ``Synthesis of Optimal Reversible Logic Circuits'', IWLS, slides, paper, New Orleans, LA, June 2002, pp. 125-130. Available online as http://xxx.lanl.gov/abs/quant-ph/0207001 .
  39. F. A. Aloul, I. L. Markov, K. A. Sakallah, ``Efficient Gate and Input Ordering for Circuit-to-BDD Conversion'', slides, paper, IWLS, New Orleans, LA, June 2002, pp. 137-142.
  40. D. B. Motter and I. L. Markov ``Overcoming Resolution-Based Lower Bounds for SAT Solvers'' slides IWLS, New Orleans, LA, June 2002, pp. 373-378.
  41. F. A. Aloul, A. Ramani, I. L. Markov and K. A. Sakallah, ``PBS: A Pseudo-Boolean Solver and Optimizer", slides (.ppt ), , SAT, Cincinnati, OH, May 2002, pp. 346-353.
  42. D. B. Motter and I. L. Markov, ``On Proof Systems Behind Efficient SAT Solvers'', slides (.ppt ), SAT, Cincinnati, OH, May 2002, pp. 206-213.
  43. F. A. Aloul, A. Ramani, I. L. Markov and K. A. Sakallah, ``Solving Difficult SAT Instances In The Presence of Symmetry'', slides (.ppt ), SAT, Cincinnati, OH, May 2002, pp. 338-345.
  44. D. B. Motter and I. L. Markov, ``A Breadth-First Search For Satisfiabiliy'', slides (.ppt ) ALENEX, San Francisco, CA, January 2002
  45. F. A. Aloul, I. L. Markov and K. A. Sakallah ``MINCE: A Static Global Variable-Ordering for SAT and BDD'', IWLS, Lake Tahoe, CA, June 2001 sildes
  46. I. L. Markov and P. G. Villarrubia, ``Methods for Top-Down Timing-Driven Placement'', 2nd IBM ACAS Conference, Austin, TX, February, 2001
  47. A. E. Caldwell, A. B. Kahng and I. L. Markov, "Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning", (slides) ACM/SIAM Workshop on Algorithm Engineering and Experimentation (ALENEX), Jan. 1999

Quantum Physics Abstracts @http://xxx.lanl.gov/ quant-ph

  1. http://xxx.lanl.gov/abs/quant-ph/0207001
  2. http://xxx.lanl.gov/abs/quant-ph/0208003
  3. http://xxx.lanl.gov/abs/quant-ph/0211002
  4. http://xxx.lanl.gov/abs/quant-ph/0302002
  5. http://xxx.lanl.gov/abs/quant-ph/0303039
  6. http://xxx.lanl.gov/abs/quant-ph/0308033
  7. http://xxx.lanl.gov/abs/quant-ph/0308045
  8. http://xxx.lanl.gov/abs/quant-ph/0309060
  9. http://xxx.lanl.gov/abs/quant-ph/0401162
  10. http://xxx.lanl.gov/abs/quant-ph/0403114
  11. http://xxx.lanl.gov/abs/quant-ph/0404003
  12. http://xxx.lanl.gov/abs/quant-ph/0405001
  13. http://xxx.lanl.gov/abs/quant-ph/0406176
  14. http://xxx.lanl.gov/abs/quant-ph/0511069
  15. http://lanl.arxiv.org/abs/0705.0017
  16. http://lanl.arxiv.org/abs/0707.3622
  17. arXiv:0803.2316
  18. arXiv:0909.4119
  19. arXiv:0912.3912
  20. arXiv:1110.2574

Technical Reports @UMich

  1. F. A. Aloul, I. L. Markov and K. A. Sakallah, ``Faster SAT and Smaller BDDs via Common Function Structure'', CSE-TR-445-01, University of Michigan, December 2001.
  2. A. Ramani and I. L. Markov, ``The FMSAT Satisfiability Solver: Hypergraph Partitioning Meets Boolean Satisfiability'', CSE-TR-448-02, University of Michigan, February 2002.
  3. F. A. Aloul, I. L. Markov and K. A. Sakallah, ``Generic ILP versus Specialized 0-1 ILP: An Update'', CSE-TR-461-02.pdf, University of Michigan, August 2002.
  4. F. A. Aloul, A. Ramani, Igor L. Markov and K. A. Sakallah, ``Solving Difficult Instances of Boolean Satisfiability in the Presence of Symmetry'' (), CSE-TR-463-02, University of Michigan, September 2002.
  5. H. H. Chan and I. L. Markov, ``Practical Slicing and Non-slicing Block-Packing without Simulated Annealing'', CSE-TR-487-04, University of Michigan, December 2004.

Technical Reports @UCLA

Mathematics Department, Group in Computational and Applied Mathematics http://www.math.ucla.edu/applied/cam/index.html
  1. C.J. Alpert, T. Chan, D.J.-H. Huang, I. Markov, and K. Yan, Quadratic Placement Revisited, TR 97-48, UCLA, UCLA Mathematics Department, September 1997
  2. Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Andrew B. Kahng, Igor L. Markov, Pep Mulet, and Kenneth Yan, Faster Minimization of Linear Wirelength for Global Placement, TR 97-49, UCLA, Mathematics Department, September 1997
At Computer Science Department http://www.cs.ucla.edu/csd/pubs/pubs.html
  1. A. E. Caldwell, A. B. Kahng and I. L. Markov, "Optimal End-Case Partitioners and Placers for Standard-Cell Layout" TR-990013, March 1999.
  2. A.E. Caldwell, A.B. Kahng and I.L. Markov, "Design and implementation of move-based partitioners" TR-990015, March 1999.
  3. A.A. Kennings and I.L. Markov, "Analytic Placement of Hypergraphs - I" TR-990020, March 1999.
  4. A. E. Caldwell and I. L. Markov, "Hierarchical Whitespace Allocation", TR-200002, January 2000.

© 1997-2006, Igor Markov,