Date Topic and Notes Assignments Reading For This Class
T Aug 31 What is Software Engineering?
[overview]
Some homeworks are posted.
Start early!
R Sep 02 Process, Risk and Scheduling
[overview]
T Sep 07 Measurement
[overview]
HW 0 (Dev Setup) Due
R Sep 09 Quality Assurance and Testing
[qa]
HW 1a (Test Coverage) Due
T Sep 14 Test Suite Quality Metrics
[qa]
R Sep 16 Test Inputs, Oracles and Generation
[qa]
HW 1b, 1c and 1d (Test Coverage) Due
T Sep 21 Code Inspection and Review
[qa]
R Sep 23 Dynamic Analysis Tools
[qa]
T Sep 28 Pair Programming and Skill Interviews
[coding]
HW 2 (Test Automation) Due
R Sep 30 Static & Dataflow Analysis (1/2)
[qa]
(putting off HW 3 typically works poorly for students)
T Oct 05 Static & Dataflow Analysis (2/2)
[qa]
R Oct 07
(optional "Bonus" lecture: World Building)
Exam #1 Due
(you pick a 2-hour window within this 24-hour day)
T Oct 12 Defect Reporting and Triage
[bugs]
HW 3 (Mutation Testing) Due
R Oct 14 Fault Localization and Profiling
[bugs]
T Oct 19 (break)
R Oct 21 Debugging as Hypothesis Testing
[bugs]
T Oct 26 Requirements and Specifications
[design]
HW 4 (Defect Detection) Due
R Oct 28 Elicitation, Validation and Risk
[design]
T Nov 02 Designing for Maintainability
[design]
R Nov 04 Patterns and Anti-Patterns
[design]
HW 5 (Debugging Automation) Due
T Nov 09 Dr. Kevin J. Leach, Vanderbilt University
[guest]
R Nov 11 Code Inspection and the Brain
[coding, qa]
T Nov 16 Productivity
(bring a coding laptop!)
[coding]
HW 6a (Contribution) Due
R Nov 18 Multi-Language Projects
[coding]
T Nov 23 Guest Lecture
Dr. Ciera Jaspan, Google
[guest]
R Nov 25 (break)
T Nov 30 Automatic Program Repair
[overview]
R Dec 02 Game Theory
[other]
T Dec 07 Security and Software Engineering
[other]
  • Optional: For more information on buffer overflows, which this lecture assumes you have seen previously, visit Wikipedia's Buffer overflow.
R Dec 09 Quantum Computing and Romance Novels
[other]
You Should Pretend HW 6b (Contribution) is Due (see below about no late submissions)
T Dec 14 Exam #2 Due
(you pick a 2-hour window within this 24-hour day)
R Dec 16 HW 6b (Contribution) Due (cannot be accepted later than this, please plan accordingly)
All Course Materials Due